当前位置: 首页 > news >正文

学校局域网站建设wordpress后台登录网址

学校局域网站建设,wordpress后台登录网址,深圳坪山地图,重庆企业建站程序专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点#xff0c;刷题网站用的是牛客网 要实现8bit数据至12bit数据的位宽转换#xff0c;必须要用寄存器将先到达的数据进行缓存。8bit数据至12bit数据#xff0c;相当于1.5个输入数据拼接成一个输出数据#… 专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点刷题网站用的是牛客网 要实现8bit数据至12bit数据的位宽转换必须要用寄存器将先到达的数据进行缓存。8bit数据至12bit数据相当于1.5个输入数据拼接成一个输出数据出于对资源的节省以及时序要求采用1个8bit的寄存器data_lock进行数据缓存。 根据时序图数据是在第二个数据到来之后输出当仅有一个数据到来时不产生输出所以内部设计一个计数器valid_cnt用来指示数据接收状态。当检测到valid_in拉高时valid_cnt加1valid_cnt在0-2之间循环valid_cnt复位值是0。当valid_cnt是1或2且valid_in为高时输出数据valid_out拉高。 timescale 1ns/1nsmodule width_8to12(input clk , input rst_n ,input valid_in ,input [7:0] data_in ,output reg valid_out,output reg [11:0] data_out );reg [7:0] data_lock ; reg [1:0] valid_cnt ; always (posedge clk or negedge rst_n) beginif (~rst_n) data_lock d0 ; else if (valid_in) data_lock data_in ; endalways (posedge clk or negedge rst_n) begin if (~rst_n) valid_cnt d0 ; else if (valid_in) if (valid_cnt 2d2) valid_cnt d0 ; else valid_cnt valid_cnt 1d1 ; endalways (posedge clk or negedge rst_n) begin if (~rst_n) valid_out d0 ; else if (valid_in (valid_cnt 2d1 || valid_cnt 2d2)) valid_out 1d1 ; else valid_out d0 ;endalways (posedge clk or negedge rst_n) begin if (~rst_n) data_out d0 ; else if (valid_in valid_cnt 2d1) data_out {data_lock, data_in[7:4]} ;else if (valid_in valid_cnt 2d2) data_out {data_lock[3:0], data_in} ; endendmodule
http://www.sadfv.cn/news/15570/

相关文章:

  • 网站开发服务商平台做外贸英语要什么网站
  • 如何做公司网站优化网站建设运营公司排行
  • 老外做的汉字网站网站建设推广费用
  • 吉林网站建设价格国外用什么做网站
  • 青岛电子商务网站建设微信引流神器手机电影网站怎么做
  • 商城网站建设报价单做受视频播放网站
  • 临沂罗庄建设局网站服装设计专业有前途吗
  • 著名室内设计网站大全赣州seo顾问
  • 零六年自助建设网站上海网站建设服务站霸网络
  • 怎么用服务器做局域网网站建设部促进中心网站
  • 西安网站建设王永杰济南网站建设推广
  • 学网站开发要什么基础做网站数据库及相关配置
  • 网站安全事件应急处置机制建设网站加后台
  • 电子商务网站建设ppt模板微信如何注册小程序
  • 宁夏城乡建设厅网站顺德建设网站
  • 如何用dw做网站框架深圳分销网站设计电话
  • 甘肃建设厅官方网站项目负责人微信小商店分销系统
  • 软件下载网站排行榜前十名电子商务网站建设的一般
  • 珠海移动网站设计青岛优化网站技术
  • 网站导航二级菜单怎么做出来的2345网址导航更新
  • 网站后期维护费用怎样版费程序开发用什么笔记本
  • 福州网站建设电话做网站百度
  • 微信推广员当年的推广费南通做网站优化
  • 有哪些做外贸免费的网站赣州市做网站设计
  • 电商网站成品案例杭州网站建设seo优化
  • 网站后台验证码无法显示商城类网站怎么优化
  • 阿里云建站套餐提高网站安全性
  • 影楼网站模版定制型网页设计开发
  • 下载网站怎么下载个人备案能建立企业网站吗
  • 做购物平台网站需要注意什么铜山网站开发