当前位置: 首页 > news >正文

云南网站设计模板福建省建设工程资格中心网站

云南网站设计模板,福建省建设工程资格中心网站,215专业品牌网站建设,中国最新新闻摘抄一、串行通信 串行通信分为两种方式#xff1a;同步串行通信和异步串行通信。 同步串行通信需要通信双方在同一时钟的控制下#xff0c;同步传输数据。 异步串行通信是指通信双方使用各自的时钟控制数据的发送和接收过程。 二、UART 通用异步收发传输器#xff08;Unive…一、串行通信 串行通信分为两种方式同步串行通信和异步串行通信。 同步串行通信需要通信双方在同一时钟的控制下同步传输数据。 异步串行通信是指通信双方使用各自的时钟控制数据的发送和接收过程。 二、UART 通用异步收发传输器Universal Asynchronous Receiver/TransmitterUART是一种全双工、异步串行通信方式它在发送数据时将并行数据转换成串行数据来传输在接收数据时将接收到的串行数 据转换成并行数据可以实现全双工传输和接收。 UART串口通信需要两根信号线来实现一根用于串口发送另外一根负责串口接收。 UART在发送或接收过程中的一帧数据由4部分组成起始位(低电平)、数据位、奇偶校验位和停止位(高电平)。 起始位标志着一帧数据的开始停止位标志着一帧数据的结束数据位是一帧数据中的有效数据。 校验位分为奇校验和偶校验用于检验数据在传输过程中是否出错。奇校验时发送方应使数据位中1的个数与校验位中1的个数之和为奇数接收方在接收数据时对1的个数进行检查若不为奇数则说明数据在传输过程中出了差错。同样偶校验则检查1的个数是否为偶数。 UART通信过程中的数据格式及传输速率是可设置的数据位可选择为 5、6、7、8位(最常用)校验位可选择奇校验、偶校验或者无校验位停止位可选择1位(默认)1.5或2位。 串口通信的速率用波特率表示它表示每秒传输二进制数据的位数单位是bps(位/秒)常用的波特率有9600、19200、38400、57600以及115200等。 FPGA开发串口中为了得到串口传输一位数据所需要的系统时钟周期数需要对系统时钟进行计数计数方法为FPGA时钟频率/波特率。如时钟频率为50000000hz(50MHz)需要的比特率为9600bps则需要计数50000000/9600≈5208次 三、串口实现 上位机通过串口调试助手发送数据给FPGAFPGA 通过USB串口接收数据并将接收到的数据发送给上位机完成串口数据环回。 首先要有一个接收模块接收串口调试助手发送的数据 module uart_rx(//**************输入**************input clk, //系统50MHz时钟input rst_n, //系统复位低电平有效 input uart_rxd, //UART接收端口//**************输出**************output reg uart_done, //接收一帧数据完成标志output reg rx_flag, //接收标志位output reg [3:0] rx_cnt, //接收数据计数器output reg [7:0] rxdata, //接收端口数据寄存output reg [7:0] uart_data //接收的数据);//************参数定义************ parameter CLK_FREQ 50000000; //系统时钟 parameter UART_BPS 9600; //串口波特率 localparam BPS_CNT CLK_FREQ/UART_BPS; //波特率计数串口传输一位所需要的系统时钟周期数//************信号定义************ reg uart_rxd_1; //异步信号会带来亚稳态常用处理方式是打拍处理第一拍 reg uart_rxd_2; //第二拍通常打两拍就基本上就能避免亚稳态问题 reg [15:0] clk_cnt; //系统时钟计数器wire start_flag; //起始标志位//检测接收端口下降沿来捕获起始位输出一个时钟周期的脉冲start fag并进入串口接收过程 assign start_flag uart_rxd_2 (~uart_rxd_1); //对UART接收端口的数据延迟两个时钟周期避免亚稳态 always (posedge clk or negedge rst_n) begin if (!rst_n) begin uart_rxd_1 1b0;uart_rxd_2 1b0; endelse beginuart_rxd_1 uart_rxd; uart_rxd_2 uart_rxd_1;end end//当脉冲信号start_flag有效进入数据接收过程 always (posedge clk or negedge rst_n) begin if (!rst_n)rx_flag 1b0;else begin//检测到起始位进入数据接收过程标志位rx_flag拉高if(start_flag)rx_flag 1b1;//当接收数据计数器计数到9(9个波特周期)且在停止位中间(数据寄存已经完成为检测下一帧数据起始位准备)停止接收标志位rx_flag拉低 else if((rx_cnt 4d9) (clk_cnt BPS_CNT/2)) rx_flag 1b0;elserx_flag rx_flag;end end//进入数据接收过程启动系统时钟计数器 always (posedge clk or negedge rst_n) begin if (!rst_n) clk_cnt 16d0;//标志位rx_flag有效处于接收过程 else if (rx_flag) begin//计数没到一个波特率周期则一直计数到了则清零 if (clk_cnt BPS_CNT - 1)clk_cnt clk_cnt 1b1;elseclk_cnt 16d0;end//数据接收过程结束计数器清零else clk_cnt 16d0; end//进入数据接收过程启动接收数据计数器 always (posedge clk or negedge rst_n) begin if (!rst_n) rx_cnt 4d0; else if (rx_flag) begin//系统时钟计数到一个波特率周期接收数据计数器加1没到则不加if (clk_cnt BPS_CNT - 1) rx_cnt rx_cnt 1b1; //可以用来判断当前传输的是第几位elserx_cnt rx_cnt; end//接收过程结束计数器清零elserx_cnt 4d0; end//根据接收数据计数器来寄存uart接收端口数据 always (posedge clk or negedge rst_n) begin if (!rst_n) rxdata 8d0; else if(rx_flag)//计数到数据中间的采样结果最稳定if (clk_cnt BPS_CNT/2) begincase (rx_cnt)//根据rx_cnt的值将uart接收端口的数据寄存到接收数据寄存器对应的位实现串并转换4d1 : rxdata[0] uart_rxd_2; //寄存数据位最低位4d2 : rxdata[1] uart_rxd_2;4d3 : rxdata[2] uart_rxd_2;4d4 : rxdata[3] uart_rxd_2;4d5 : rxdata[4] uart_rxd_2;4d6 : rxdata[5] uart_rxd_2;4d7 : rxdata[6] uart_rxd_2;4d8 : rxdata[7] uart_rxd_2; //寄存数据位最高位default:; endcaseendelse rxdata rxdata;elserxdata 8d0; end//数据接收完毕后给出标志信号并寄存输出接收到的数据 always (posedge clk or negedge rst_n) begin if (!rst_n) beginuart_data 8d0; uart_done 1b0;end//接收数据计数器计数到停止位时寄存输出接收到的数据并将接收完成标志位拉高else if(rx_cnt 4d9) begin uart_data rxdata; uart_done 1b1; endelse beginuart_data 8d0; uart_done 1b0; end endendmodule 然后还需要一个发送模块将数据发送给PC端原理同接收模块大部分一致 module uart_tx(//**************输入************** input clk, //系统50MHz时钟input rst_n, //系统复位低电平有效 input uart_en, //发送使能信号input [ 7:0] uart_din, //待发送数据//**************输出**************output uart_tx_busy, //发送忙状态标志 output en_flag, //使能标志位output reg tx_flag, //发送过程标志信号output reg [ 7:0] tx_data, //寄存发送数据output reg [ 3:0] tx_cnt, //发送数据计数器output reg uart_txd //UART发送端口);//************参数定义************ parameter CLK_FREQ 50000000; //系统时钟频率 parameter UART_BPS 9600; //串口波特率 localparam BPS_CNT CLK_FREQ/UART_BPS; //波特率计数串口传输一位所需要的系统时钟周期数//************信号定义************ reg uart_en_1; reg uart_en_2; reg [15:0] clk_cnt; //系统时钟计数器//在串口发送过程中给出忙状态标志其他模块就可以判断串口发送模块是否处于空闲状态 assign uart_tx_busy tx_flag;//捕获uart_en上升沿得到一个时钟周期的脉冲信号进入数据发送过程 assign en_flag (~uart_en_2) uart_en_1;//对发送使能信号uart_en延迟两个时钟周期避免亚稳态 always (posedge clk or negedge rst_n) begin if (!rst_n) beginuart_en_1 1b0; uart_en_2 1b0;end else begin uart_en_1 uart_en; uart_en_2 uart_en_1; end end//当脉冲信号en_flag到达时,寄存待发送的数据进入发送过程 always (posedge clk or negedge rst_n) begin if (!rst_n) begin tx_flag 1b0;tx_data 8d0;end //检测到发送使能上升沿进入发送过程标志位tx_flag拉高寄存待发送数据else if (en_flag) begin tx_flag 1b1;tx_data uart_din;end//计数到停止位结束时停止发送过程标志位tx_flag拉低 else if ((tx_cnt 4d9) (clk_cnt BPS_CNT - (BPS_CNT/16))) begin //提前1/16个停止位拉低保证发送数据时间略小于接收数据时间避免数据积累造成丢失tx_flag 1b0; tx_data 8d0;endelse begintx_flag tx_flag;tx_data tx_data;end end//进入发送过程后启动系统时钟计数器 always (posedge clk or negedge rst_n) begin if (!rst_n) clk_cnt 16d0; else if (tx_flag) begin if (clk_cnt BPS_CNT - 1)clk_cnt clk_cnt 1b1;elseclk_cnt 16d0; endelse clk_cnt 16d0; end//进入发送过程后启动发送数据计数器 always (posedge clk or negedge rst_n) begin if (!rst_n) tx_cnt 4d0;else if (tx_flag) begin if (clk_cnt BPS_CNT - 1) tx_cnt tx_cnt 1b1;elsetx_cnt tx_cnt; endelse tx_cnt 4d0; end//根据发送数据计数器来给uart发送端口赋值 always (posedge clk or negedge rst_n) begin if (!rst_n) uart_txd 1b1; else if (tx_flag)case(tx_cnt)4d0: uart_txd 1b0; //起始位 4d1: uart_txd tx_data[0]; //数据位最低位4d2: uart_txd tx_data[1];4d3: uart_txd tx_data[2];4d4: uart_txd tx_data[3];4d5: uart_txd tx_data[4];4d6: uart_txd tx_data[5];4d7: uart_txd tx_data[6];4d8: uart_txd tx_data[7]; //数据位最高位4d9: uart_txd 1b1; //停止位default: ;endcaseelse uart_txd 1b1; //空闲时发送端口为高电平 endendmodule 环回模块将串口接收模块接收到的数据发送给串口发送模块 module uart_loop(//**************输入**************input clk, //系统时钟input rst_n, //系统复位低电平有效input recv_done, //接收一帧数据完成标志input [7:0] recv_data, //接收的数据input tx_busy, //发送忙状态标志 //**************输出************** output reg send_en, //发送使能信号output reg [7:0] send_data //待发送数据);//************信号定义************ reg recv_done_d0; reg recv_done_d1; reg tx_ready;//wire define wire recv_done_flag;//检测到recv_done上升沿得到一个时钟周期的脉冲信号标志着串口接收模块接收到了一帧数据 assign recv_done_flag (~recv_done_d1) recv_done_d0;//对发送使能信号recv_done延迟两个时钟周期 always (posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) beginrecv_done_d0 1b0; recv_done_d1 1b0;end else begin recv_done_d0 recv_done; recv_done_d1 recv_done_d0; end end//判断接收完成信号并在串口发送模块空闲时给出发送使能信号 always (posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) begintx_ready 1b0; send_en 1b0;send_data 8d0;end else begin if(recv_done_flag)begintx_ready 1b1 //将tx_ready信号拉高表示已经准备好了待发送的数据send_en 1b0; //将send_en信号拉低为接下来产生一个上升沿作准备send_data recv_data; //寄存接收到的数据recv_data到send_data中endelse if(tx_ready (~tx_busy)) begin //检测串口发送模块处于空闲状态tx_ready 1b0; //准备过程结束,等待下一个串口接收数据的到来send_en 1b1; //拉高发送使能信号以启动串口发送模块的发送过程将寄存到send_data中的数据发送出去endend endendmodule 顶层文件 module uart(//**************输入**************input clk, //外部50M时钟input rst_n, //外部复位信号低有效input uart_rxd, //UART接收端口//**************输出**************output uart_txd //UART发送端口);//************参数定义************ parameter CLK_FREQ 50000000; //定义系统时钟频率 parameter UART_BPS 115200; //定义串口波特率//************信号定义************ wire uart_recv_done; //UART接收完成 wire [7:0] uart_recv_data; //UART接收数据 wire uart_send_en; //UART发送使能 wire [7:0] uart_send_data; //UART发送数据 wire uart_tx_busy; //UART发送忙状态标志//串口接收模块 uart_rx #( .CLK_FREQ (CLK_FREQ), //设置系统时钟频率.UART_BPS (UART_BPS)) //设置串口接收波特率 u_uart_recv( .clk (clk), .rst_n (rst_n),.uart_rxd (uart_rxd),.uart_done (uart_recv_done),.uart_data (uart_recv_data));//串口发送模块 uart_tx #( .CLK_FREQ (CLK_FREQ), //设置系统时钟频率.UART_BPS (UART_BPS)) //设置串口发送波特率 u_uart_send( .clk (clk),.rst_n (rst_n),.uart_en (uart_send_en),.uart_din (uart_send_data),.uart_tx_busy (uart_tx_busy),.uart_txd (uart_txd));//串口环回模块 uart_loop u_uart_loop(.clk (clk), .rst_n (rst_n), .recv_done (uart_recv_done), //接收一帧数据完成标志信号.recv_data (uart_recv_data), //接收的数据.tx_busy (uart_tx_busy), //发送忙状态标志 .send_en (uart_send_en), //发送使能信号.send_data (uart_send_data) //待发送数据);endmodule 参考资料 正点原子FPGA教程、小梅哥FPGA教程
http://www.sadfv.cn/news/161708/

相关文章:

  • 自助网站推广系统做网站要用到什么软件
  • 河北营销型网站方案网站怎么添加手机版
  • 做网站前台内容对应填充在跨境网站贸易公司做怎么样
  • 上海最专业的网站建设公司哪家好怎么注册自己的微信小程序
  • 营销型网站的建设规划asp.net网站开发书籍
  • 网站开发中心外贸网站建设设计方案
  • 做网站的励志故事wordpress写技术博客
  • 变更网站怎么做嘉兴seo关键词优化
  • 做网站维护需要懂什么邓州微网站建设
  • 网站里自己怎么做推广哈尔滨网站建设步骤
  • seo人员培训南宁seo网络推广
  • 自己电脑上做的网站 怎么让别人看网站正在建设中的图片
  • 网站站点结构的构建建设系统网站全名
  • 菏泽网站建设价位移动端是不是手机端
  • 做网站的流程知乎合肥道路建设从哪个网站可以看到
  • 建网站 端口建设部建筑招投标网站
  • 网站建设及安全规范百度首页官网
  • 罗湖做网站58wordpress显示网站运行
  • 带动画的网站模板免费建站赚钱
  • 惠阳网站设计开发如何做自己的淘宝网站
  • 备案网站 cdn营销型网站典型
  • 怎样申请网站域名北碚网站建设哪家好
  • 地图类网站开发实战教程高端外贸网站建设
  • 做外单什么网站好建设银行信用卡卡网站
  • 郑州公司网站开发网站地图深度做多少合适
  • 网站怎么做移动图片大全安徽网站建设服务
  • 网站建设费如何会计处理高端网红
  • 烟台高新区网站wordpress my visitors
  • wordpress如何发布文件郑州seo外包顾问热狗
  • 连云港 网站设计在哪些网站做收录比较快